Типы данных в VHDL


Основными в VHDL являются встроенные, или скалярные, типы данных. Кроме того, имеется возможность конструировать пользовательские
типы на основе предопределённых типов. Объект данных в VHDL характеризуется типом и классом. Различают следующие классы объектов: константы, переменные, сигналы и файлы.

Как и в языках высокого уровня, константы и переменные в VHDL содержат одно значение данного типа. Переменные объявляются при помощи ключевого слова variable, и для них существует возможность назначения значений во время выполнения программы. Константы объявляются при помощи ключевого слова constant, значение константы устанавливается до начала моделирования и после этого не изменяется.

Сигнал в VHDL используется для представления в модели физических сигналов в схеме. Как и переменная, сигнал имеет тип и текущее значение, кроме того, он имеет историю предыдущих и множество будущих значений. Сигнал объявляется при помощи ключевого слова signal, новые значения для сигналов создаются предложениями назначения сигналов.

Файлы формально определяются как объекты и являются хранилищами значений.

VHDL является строго типизированным языком, поэтому каждому объекту в описании соответствует один строго определяемый тип данных. Объект создается при помощи его объявления, значение объекта определяется выражением в правой части предложения назначения. В состав выражения могут входить константы, переменные, сигналы, операторы и указатели функций, при этом значение выражения может иметь только один тип.

Рассмотрим систему типов VHDL подробнее в следующих постах.


Комментарии запрещены.




Статистика