Система VANTAGE при моделировании на VHDL


В данной статье Вы научитесь создавать новые библиотеки, создавать новые файлы, корректировать файлы, компилировать файлы и многое другое.

1. Создание новой библиотеки
Для создания новой библиотеки (например, U.lib) необходимо в окне «Optium front» открыть раздел «Library», в котором выделить опцию «Create library» (выделение опции заканчивается нажатием «Enter»). Открывается окно «Create a Design Library», в котором есть две строки редактирования. В первой строке задать полный путь и имя библиотеки с расширением .lib, например:
/home/general/van/U.lib

Во второй строке задать только имя библиотеки (в данном примере U без расширения.

2. Создание нового файла (текста VHDL программы) в библиотеке

В окне «File Manager» открыть раздел «File», в котором выделить опцию «Create File». В списке файлов данной библиотеки возникает файл с именем «New Document». Открыть этот файл (двойное нажатие левой кнопки «мыши» при выделении курсором данного файла). Для сохранения содержимого файла открыть раздел «File», в котором выделить опцию «Store a New File». При этом появляется окно «Text Store», в строке редактирования которого задать имя созданного файла с расширением .vhd.

3. Корректировка файла
Открыть файл и после редактирования текста в меню окна данного файла открыть раздел «File», выделить в нем опцию «Save Current File» и нажать «ОК».

4. Установка библиотеки в качестве текущей (выполняется перед компиляцией файла, хранящегося в библиотеке)
В окне «Optium Front» открыть раздел «Library», в котором выделить опцию «Set Library». Открывается окно «Set Disign Libraries», в котором находится три новых окна. В среднем окне, содержащем список имен библиотек, выделить нужную нам библиотеку (возможно несколько) и нажать кнопку «Add». В правом окне появится имя библиотеки, которое надо выделить, затем последовательно нажать кнопки «Set Workinkg» и «Done».

5. Компиляция файла
В окне «Optium Front» открыть раздел «Compile», в котором выделить опцию «VHDL Sourse». Появится окно «Compile VHDL Sourse». В строке редактирования «File name» задать полный путь компилируемого файла, например:
/home/general/van/U.lib/model1_1.vhd
затем нажать кнопку «ОК» в данном окне.

Результаты компиляции прочитать в соответствующем окне, появляющемся в правой части экрана.

Для выделения строки, содержащей ошибки при компиляции, надо в меню окна компилируемого файла выделить раздел «View» и в строке редактирования появившегося нового окна задать номер строки в которой есть ошибка.

После редактирования, для сохранения скорректированного файла, текста программы надо правой клавишей «мыши» открыть раздел «File» и выделить опцию «Save Current File». Сохранить отредактированный файл можно и дру-гим способом: установить курсор «мышью» на треугольник раздела «File» и нажать левую клавишу мыши.


Комментарии запрещены.




Статистика